Ashwath Rao - Counterpoint Technology Market Research & Industry Analysis Firm Thu, 02 Nov 2023 08:58:46 +0000 en-US hourly 1 https://www.counterpointresearch.com/wp-content/uploads/2021/12/counter_favicon-150x150.png Ashwath Rao - Counterpoint 32 32 Sensing, Power Solutions Drive onsemi’s Record Revenue in 2022 https://www.counterpointresearch.com/insights/sensing-power-solutions-drive-onsemis-record-revenue-in-2022/ Tue, 21 Feb 2023 08:20:03 +0000 http://cpr.presscat.kr/insights/sensing-power-solutions-drive-onsemis-record-revenue-in-2022/ Delivered a record revenue of $8.3 billion in 2022. Auto and industrial end-markets revenue increased by 38% YoY.  Revenue from silicon carbide to exceed $1 billion in 2023.  Exiting sub-scale fabs and shifting to 300mm capacity will provide a significant cost advantage in terms of front-end costs and accelerate fab consolidation. American semiconductor supplier onsemi has […]

The post Sensing, Power Solutions Drive onsemi’s Record Revenue in 2022 appeared first on Counterpoint.

]]>
  • Delivered a record revenue of $8.3 billion in 2022.
  • Auto and industrial end-markets revenue increased by 38% YoY.
  •  Revenue from silicon carbide to exceed $1 billion in 2023.
  •  Exiting sub-scale fabs and shifting to 300mm capacity will provide a significant cost advantage in terms of front-end costs and accelerate fab consolidation.
  • American semiconductor supplier onsemi has reported strong 2022 earnings with revenue increasing 24% YoY to a record $8.3 billion driven by secular megatrends of electric vehicles, advanced driver-assistance systems (ADAS), alternative energy and industrial automation.

    With growing traction for silicon carbide solutions driven by electrification and ADAS, increased long-term supply agreements and adoption of a fab-liter manufacturing strategy, onsemi is well positioned to deliver revenue growth in the long term.

    2022 highlights

    • Delivered a record revenue of $8.3 billion at 24% YoY growth, primarily driven by strength in automotive and industrial businesses.
    • Reduction in price-to-value discrepancies, exiting volatile and competitive businesses and pivoting portfolio to high-margin products helped onsemi deliver strong earnings.
    • Revenue from auto and industrial end-markets increased 38% YoY to $ 4 billion and accounted for 68% of total revenues.
    • Intelligent Sensing Group revenue increased 42% YoY to $1.28 billion driven by the transition to higher-resolution sensors at elevated ASPs.
    • Non-GAAP gross margin was at 49.2%, an increase of 880 basis points YoY. The expansion was driven by manufacturing efficiencies, favorable mix and pricing, and reallocation of capacity to strategic and high-margin products.
    • Revenue from silicon carbide (SiC) shipments in 2022 was more than $200 million.
    • Revenue committed from SiC solutions through LTSAs increased to $4.5 billion.
    • Total LTSAs across the entire portfolio were at $16.6 billion exiting 2022.
    • Revenue from new product sales increased by 34% YoY.
    • Design wins increased 38% YoY.

    Counterpoint Research onsemi Earnings by Business Unit, 2021 vs 2022

    Source: onsemi Earnings, Counterpoint Research

    Q4 highlights

    • Total revenue increased 14% YoY to $2.1 billion, with automotive revenue reaching a record $989 million.
    • The automotive business grew 54% YoY to account for 47% of the total revenue, compared to 35% in the quarter a year ago.
    • The industrial business accounted for 26% of the total revenue and grew 6% YoY due to strong demand for energy infrastructure and medical applications, which was offset by softness in parts of the industrial business.
    • Non-GAAP gross margin was at 48.4%, an increase of 320 basis points YoY.
    • Revenue from intelligent power and intelligent sensing accounted for 69% of the total revenue in Q4.
    • Revenue from Power Solutions Group increased 10% YoY to $1 billion.
    • Advanced Solutions Group reported revenue of $701 million, an increase of 8% YoY.
    • Revenue from Intelligent Sensing Group was a record $354 million, an increase of 44% YoY.Counterpoint Research onsemi Earnings by End-Market, Q4 2021 vs Q4 2022

    Source: onsemi Earnings, Counterpoint Research

    Developments in 2022

    • Recognized as a strategic corporate supplier to Volkswagen Group for SiC modules that enable a complete traction inverter solution for its entire fleet of next-generation electric vehicles.
    • Expanded partnership with Tesla beyond SiC and image sensor to numerous power and analog solutions.
    • Signed a seven-year long-term supply agreement with Jaguar Land Rover to adopt onsemi’s SiC for its next-generation platforms and other solutions for onboard chargers and other xEV applications.
    • Hyundai Motor Group selected onsemi’s EliteSiC family of SiC power modules for its high-performance electric vehicles.
    • Mercedes-Benz adopted onsemi’s SiC technology for traction inverters.
    • Partnered with Ampt, the world’s #1 DC optimizer company for large-scale solar and energy storage systems, to supply EliteSiC critical power switching solutions.
    • Collaborated with global automotive innovator NIO to supply SiC traction power modules for next-generation electric vehicles.
    • With a broad portfolio of SiC and silicon power modules, onsemi has LTSAs with the world’s top 10 solar inverter manufacturers.

    These collaborations and wins will help expedite the commercialization of SiC technologies to bring EVs equipped with advanced semiconductor material to the market and leverage onsemi’s broad portfolio of power solutions.

    onsemi’s focus on high-growth megatrends in the automotive and industrial segments with its enabling intelligent power and sensing portfolio capabilities will help further expand its leadership in these technologies and capture a significant amount of market share over time.

    Manufacturing strategy

    Divested four fabs and closed the acquisition of 300mm fab in 2022 to improve long-term cost structure.

    Divested wafer manufacturing sites as part of fab-liter manufacturing strategy

    • Closed the sale of its 150mm wafer fabrication facility at Oudenaarde in Belgium to Belgium semiconductor company BelGaN Group BV.
    • Announced completion of the sale of 200mm semiconductor fab at Pocatello in Idaho to ATREG, Inc.
    • Entered into a definitive agreement with Diodes Incorporated to divest its South Portland, Maine, 200mm fab.
    • Completed sale of its 150mm facility at Niigata in Japanto JS Foundry K.K.

    The full benefit of these divestitures will be realized over the next several years as onsemi transitions production to other fabs, further supporting long-term gross margin expansion plans.

    The sales will further enable onsemi to invest in R&D and internal capacity expansion for differentiated technologies and strategic growth areas.

    Expansion strategy

    Expands its silicon carbide production facility at Hudson in New Hampshire

    • The facility is expected to increase SiC boule production capacity and ensure supply of critical components.

    Expands its silicon carbide fab in Czech Republic

    • The facility will increase its SiC wafer production capacity over the next two years and enable onsemi to provide customers the critical supply assurance to meet the rapidly growing demand for SiC-based solutions.

    The expansion will leverage full control over SiC wafer fabrication and manufacturing supply chain and enable onsemi’s progress toward SiC leadership.

    These divestments and expansion will help onsemi capture value through a focus on highly differentiated products that enable disruptive innovation, optimize manufacturing footprint and leverage expertise.

    Business outlook

    • Q1 revenue will be in the range of $1.87 billion to $1.97 billion, with continued strength in automotive amid softness in industrial end markets.
    • Gross margins to decline by 200 to 300 basis points due to lower factory utilization and the dilutive impact of ramping, silicon carbide and the recently acquired East Fish Kill fab.
    • Revenue from silicon carbide to exceed $1 billionin 2023, emphasizing onsemi’s progress toward SiC leadership.

    Conclusion

    With end-to-end control over the supply chain, R&D and capex investments for SiC and a strong pipeline of customers, onsemi should see itself growing into the top three players in the auto semiconductor market in the coming years. Increased focus on engagement with Tier 1s due to an increase in onsemi content for upcoming select EV platforms and advanced safety applications will help revenue growth in the long term. onsemi’s focus on its enabling intelligent power and sensing portfolio and end-to-end SiC capabilities will help capture a significant market share in the fastest growing segments in the automotive and industrial market.

    Related Links

     

    The post Sensing, Power Solutions Drive onsemi’s Record Revenue in 2022 appeared first on Counterpoint.

    ]]>
    Ashwath Rao
    Applied Materials Delivers Strong FY 2022 Numbers Despite Headwinds https://www.counterpointresearch.com/insights/applied-materials-delivers-strong-fy-2022-numbers-despite-headwinds/ Fri, 25 Nov 2022 00:43:30 +0000 http://cpr.presscat.kr/insights/applied-materials-delivers-strong-fy-2022-numbers-despite-headwinds/ Semiconductor equipment maker Applied Materials has reported strong earnings for FY 2022 (ended October 30). Total sales revenue increased 12% YoY to $25.78 billion despite supply chain shortages, geopolitical and macroeconomic headwinds, and softening consumer demand. The company’s uniquely enabling technology and growing installed base will be its key growth drivers as chipmakers accelerate ramping […]

    The post Applied Materials Delivers Strong FY 2022 Numbers Despite Headwinds appeared first on Counterpoint.

    ]]>
    Semiconductor equipment maker Applied Materials has reported strong earnings for FY 2022 (ended October 30). Total sales revenue increased 12% YoY to $25.78 billion despite supply chain shortages, geopolitical and macroeconomic headwinds, and softening consumer demand.

    The company’s uniquely enabling technology and growing installed base will be its key growth drivers as chipmakers accelerate ramping up of new process nodes in R&D for high-volume manufacturing.

    Financial highlights

    • Semiconductor Systems revenue increased 15% YoY in FY 2022 to $18,797 million on account of strong orders as customers continued to invest in next-generation technology.
    • Applied Materials’ service revenue increased 11% YoY in FY 2022 to $5,543 million, accounting for 21% of the annual net revenue.
    • Display and Adjacent Markets revenue decreased 19% YoY in FY 2022 to $ 1,331 million.
    • Non-GAAP gross margin was at 46.6% in FY 2022.
    • Non-GAAP operating profit grew by over 7% to $7.86 billion.
    • Non-GAAP EPS increased nearly 13% to $7.70.
    • Total ending backlog increased 62% to $19 billion with Semiconductor Systems backlog increasing 90% to nearly $12.7 billion and services backlog increasing 30% to over $5.6 billion.
    • The company generated about $5.4 billion in operating cash flow and over $4.6 billion in free cash flow.
    • The company’s installed base grew 8% YoY in FY 2022.
    • The number of tools under comprehensive, long-term service contracts grew 16% YoY with the over 90% renewal rate for these agreements demonstrating the value customers see in subscription services.
    • New export regulations for US semiconductor technology sold in China reduced Semiconductor Systems and AGS fourth quarter revenue by approximately $280 million.

    AMAT-chart-blog2 "Counterpoint Research"

    Source: Company, Counterpoint Research

    Applied Materials sees stable revenue growth from subscription services

    • Applied Global Services (AGS) is a business segment with recurring revenue growth, which will give Applied Materials resilience through business cycles in the future.
    • Applied Global Services’ growing installed base and service intensity will be key growth drivers as customers will keep spending to maintain their tools in optimal condition.

    Key developments in FY 2022

    • Applied Materials acquired Picosun, a Finland-based innovator in atomic layer deposition (ALD) technology.
      • This acquisition broadens Applied’s product portfolio and puts it in a great position to capture a large portion of the specialty semiconductor market in the coming years.
    • Collaboration with the Institute of Microelectronics (IME), a research institute under Singapore’s Agency for Science, Technology and Research (A*STAR).
      • IME’s strategic R&D capabilities complement well Applied’s expertise in advanced packaging solutions and will accelerate material, equipment and process technology solutions for hybrid bonding and other emerging, 3D chip integration technologies.
    • Introduced new Ioniq™ PVD system to solve wiring resistance challenges of 2D scaling.
      • This new integrated solution offers a significant reduction in electrical resistance, which has become a critical bottleneck to further improvements in chip performance and power.

    These acquisitions, collaborations and developments will further help Applied Materials secure technology and price competitiveness, and strengthen its manufacturing capacity to address demand through the development of derivative processes.

    Business outlook

    • Net sales revenue is expected to be between $6.30 billion and $7.10 billion in Q1.
    • Mixed demand seen for the ICAPS, chips for IoT, communications, automotive, power and sensor markets.
    • The company said the unmitigated impact of the new export regulations for US semiconductor technology sold in China on the FY 2023 revenues would be around $2.5 billion. However, the impact could be reduced to $1.5-$2 billion depending on how investments are refocused and how quickly the government provides licenses and approvals.

    Key takeaways

    • Applied’s business will be more resilient on account of the large backlog and strong customer demand for products that enable key technology inflections, especially in next-generation wiring, interconnect layers and advanced packaging.
    • Key collaborations and acquisitions broaden Applied’s product portfolio and put it in a great position to capture a large portion of the advanced and specialty semiconductor market in the coming years.
    • The company’s uniquely enabling technology, growing installed base and service intensity will be its key growth drivers in the long term.

    The comprehensive and in-depth analysis on “Applied Materials FY 2022 Earnings Report” can be accessed from the link (Click here).

    Related Links

     

    The post Applied Materials Delivers Strong FY 2022 Numbers Despite Headwinds appeared first on Counterpoint.

    ]]>
    Ashwath Rao
    GlobalFoundries Reports Strong Q3 2022; Home, Industrial IoT to be Fastest-growing End Market in 2022 https://www.counterpointresearch.com/insights/globalfoundries-reports-strong-q3-2022-home-industrial-iot-fastest-growing-end-market-2022/ Fri, 11 Nov 2022 08:11:43 +0000 http://cpr.presscat.kr/insights/globalfoundries-reports-strong-q3-2022-home-industrial-iot-fastest-growing-end-market-2022/ GlobalFoundries has reported strong Q3 2022 numbers with its revenue growing 22% YoY to $2.074 billion, driven by an increase in wafer shipments, richer mix of products and rise in average selling price (ASP). The company’s focus on high-growth megatrends in the automotive and industrial segment, along with its enabling specialty semiconductor manufacturing capabilities and […]

    The post GlobalFoundries Reports Strong Q3 2022; Home, Industrial IoT to be Fastest-growing End Market in 2022 appeared first on Counterpoint.

    ]]>
    GlobalFoundries has reported strong Q3 2022 numbers with its revenue growing 22% YoY to $2.074 billion, driven by an increase in wafer shipments, richer mix of products and rise in average selling price (ASP).

    The company’s focus on high-growth megatrends in the automotive and industrial segment, along with its enabling specialty semiconductor manufacturing capabilities and advancing innovation and production of next-generation GaN chips, will further aid in broadening GlobalFoundries’ portfolio of feature-rich and enablement solutions and maximize revenue in the long term.

    Q3 KPIs

    • Net revenue was $2.074 billion, better than the guidance provided in the previous quarter.
    • Shipped approximately 637,300-mm equivalent wafers in kilo units, a 5% increase YoY.
    • Wafer revenue from end markets accounted for around 90% of total revenue.
    • Adjusted gross margin stood at 29.9%, a 12%-point YoY improvement driven by better fixed cost absorption, higher ASPs and improved mix.
    • Wafer ASP was $2,925, an increase of 14% YoY, driven by ramping up of long-term customer agreements with better pricing as well as continued improvement in product mix.
    • The total value of long-term agreements was above $27 billion. The amount of committed prepays increased 6% from a quarter ago, to approximately $3.8 billion.
    • Expect the full-year 2022 total gross capex to be between $3 billion and $3.3 billion, impacted primarily by delays in capital equipment.
    • Modest guidance of $2.05 billion-$2.1 billion provided for Q4 2022. 300-mm fabs fully utilized but a reduction in capacity utilization, particularly with respect to 200-mm fabs, going ahead.

    GlobalFoundries-Revenues-Q3 "Counterpoint Research"

    Source: GlobalFoundries Earnings, Counterpoint Research

    Segment-wise details: Home and industrial IoT to be the fastest-growing end market in 2022.

    GlobalFoundries Segment-wise "Counterpoint Research"

    Source: GlobalFoundries Earnings, Counterpoint Research

    Q3 key announcements and analysis

    • Received $30 million in US federal funding to advance the development and production of next-generation semiconductors at its Essex junction, Vermont, facility.
      • Funding will be utilized towards the development and production of GaN chips used in improving the performance and efficiency of applications including 5G smartphones, RF wireless infrastructure, electric vehicles, power grids and other technologies.
      • The addition of scaled GaN manufacturing to the fab’s capabilities will further boost GlobalFoundries’ leadership competencies in making chips for RF semiconductor technology and high-power applications.
    • Completed five technology qualifications, including a 12-nm LP customer-specific technology covered under a five-year agreement.
    • A proprietary automotive 40-nanometer embedded non-volatile memory product from GlobalFoundries has qualified for one of the largest automotive MCU suppliers in the industry.
    • Tapped out five new customer products on silicon photonics platform, including a photo IC device and fully monolithic co-packaged optics for GPU-to-GPU 2 terabit optical interconnect.
    • Successfully produced a high-performance RF GaN device through a silicon via technology solution to optimize power amplifier output and efficiency.
    • Sampled GaN power devices to early engagement customers.

    Key takeaways

    • Despite the ongoing inventory correction in handsets, RF front-end modules performed well. We can expect mid-teens full-year growth in the premium segment to offset declines in the low- and mid-range segments.
    • Home and industrial IoT to be the fastest-growing end market for GlobalFoundries in 2022.
    • Focus on high-growth megatrends in automotive and industrial segment with its enabling specialty semiconductor manufacturing capabilities will further boost GlobalFoundries’ leadership competencies and maximize revenue in the long term.

    Related Links

    The post GlobalFoundries Reports Strong Q3 2022; Home, Industrial IoT to be Fastest-growing End Market in 2022 appeared first on Counterpoint.

    ]]>
    Ashwath Rao
    GlobalFoundries Reports Solid Q2 2022 Driven by Differentiated Tech Platforms https://www.counterpointresearch.com/insights/globalfoundries-reports-solid-q2-2022-driven-by-differentiated-tech-platforms/ Tue, 30 Aug 2022 06:18:31 +0000 http://cpr.presscat.kr/insights/globalfoundries-reports-solid-q2-2022-driven-by-differentiated-tech-platforms/ GlobalFoundries has reported strong earnings for Q2 2022, with its revenue increasing 23% YoY to $1.99 billion. Focus on optimizing and prioritizing wafer capacity for end-market applications helped GlobalFoundries post a solid Q2 2022. Ramping up of activities in development for the past few years, expanding manufacturing locations across the globe and long-term agreements with […]

    The post GlobalFoundries Reports Solid Q2 2022 Driven by Differentiated Tech Platforms appeared first on Counterpoint.

    ]]>
    GlobalFoundries has reported strong earnings for Q2 2022, with its revenue increasing 23% YoY to $1.99 billion. Focus on optimizing and prioritizing wafer capacity for end-market applications helped GlobalFoundries post a solid Q2 2022.

    Ramping up of activities in development for the past few years, expanding manufacturing locations across the globe and long-term agreements with customers will enable GlobalFoundries to increase its market share and margins in the future.

    Q2 highlights:

    • Net revenue was $1.99 billion, an increase of 23% YoY driven by the rise in average selling price (ASP) and wafer shipments.
    • ASP per wafer increased around 16% YoY driven by the ramping up of long-term customer agreements with better pricing, constructive transactional pricing environments, and continued improvement in product mix.
    • Wafer shipments increased 6% YoY at 630 units (300 mm equivalent in kilo units).
    • Adjusted gross margin stood at 28%, a 12% increase YoY driven by better fixed cost absorption, higher ASP and improved mix.
    • Total gross capex for the full year of 2022 will be less than $4 billion due to delays in delivery of wafer fab equipment.
    • In H1 2022, 65% of the total wafer shipments and 90% of design wins were single-sourced.
    • Single-source revenue in H1 2022 outpaced overall revenue, growing 37% YoY.
    • 2022 and 2023 capacity has been oversubscribed.
    • With revenue totalling $27 billion and prepayments and access fees totalling about $3.6 billion, 36 customers were covered under long-term agreements (LTAs).
    • Secured approximately $6-billion incremental new LTAs with customers since the beginning of the year with all these agreements being 100% single-source business.
    • Shipments of 2.6 million wafers are expected for 2022.
    • Strong guidance of $2.035 billion-$2.065 billion (20% YoY) provided for Q3 2022.

    Segment-wise details:

    • Smart mobile devices
      • Smart mobile devices revenue grew 14% YoY. This growth was primarily driven by higher ASPs and growing silicon content in the premium-tier handset market.
      • Increased adoption of the RF SOI platform, widely used in the premium-tier handset market, as a percentage of the total front-end mix further helped growth in this segment.
    • Home and industrial IoT
      • Strong YoY growth of 72% driven by 40% wafer volume growth due to the accelerated adoption of wireless connectivity solution, 22nm FDX technology, for Wi-Fi 6 applications.
      • Strong traction for IoT microcontrollers featuring embedded non-volatile memory and differentiated power and analog technologies will enable this segment to become the fastest growing market in 2022.
    • Automotive
      • Automotive revenue grew around 34% YoY, driven by the ramp-up of new products in ADAS and infotainment.
    • Communications infrastructure and data center
      • 50% YoY growth driven by a combination of higher shipments, higher ASPs and a better mix with the data center sub-market delivering the strongest YoY growth.
    • Personal computing
      • The segment declined 38% YoY due to the repositioning of focus on higher nodes. However, compared to Q1 2022, there was a modest uplift in revenues following the finalization of a design with a major PC vendor.

    Counterpoint Research GlobalFoundries-Revenue Trends

    Source: GlobalFoundries Earnings, Counterpoint Research

    Development in the quarter

    • STMicroelectronics and GlobalFoundries will advance the FD-SOI ecosystem with a new 300mm manufacturing facility in France.
      • The new jointly operated high-volume manufacturing facility will support a broad range of technologies including GF’s market-leading FDX technology for automotive, industrial, IoT and communication infrastructure applications.
    • Announced GF Connex portfolio based on RF silicon-on-insulator (SOI), FDX, silicon-germanium (SiGe) and fin field-effect transistor (FinFET) platforms, the industry’s most comprehensive and advanced portfolio of feature-rich radio frequency (RF) technology solutions for next-generation wireless connectivity.
    • Announced a strategic agreement to supply innovative solutions through SiGe process technology platforms for Motorola Solutions’ radios which are widely used by public safety, critical infrastructure and enterprise organizations across the world.
    • Unveiled GF Labs to accelerate technology innovation in extending differentiated semiconductor technology and broadening the company’s portfolio of feature-rich and enablement solutions.
    • Announced an extension of LTA with Qualcomm to secure US supply through 2028. The agreement specifically extends collaboration in FinFET for 5G transceivers, Wi-Fi, automotive and IoT connectivity.
    • Earlier, in 2021, a subsidiary of Qualcomm Technologies was one of GF’s first customers to secure 22nm FDX capacity at GF’s Dresden facility with an LTA. The LTA with Qualcomm represents more than $7 billion in global revenue through 2028.

    GlobalFoundries’ framework for realizing future growth

    Differentiated specialty semiconductor manufacturing capabilities, which find extensive applications in power management, RFFE, Wi-Fi, computing, IoT and automotive, will be the major drivers for GlobalFoundries’ growth.

     

    Counterpoint Research GF Journey Source: GlobalFoundries Capital Markets Day, Counterpoint Research

    Global Foundries has repositioned its strategy in enabling and accelerating customer growth in the future with:

    • Platform solutions addressing secular growth markets in smart mobile devices, IoT, automotive, communication infrastructure and data centers.
    • Focus on innovation beyond transistor size through purpose-built technologies for:
      • Optimizing digital processing and application-specific features through
        • Feature-rich CMOS technology – used for power management, high-voltage and embedded memory.
        • FinFET technology – used for high-performance and power-efficient SoC devices.
      • Low power and performance with superior connectivity through
        • FDX (Fully depleted SOI) for enabling high-performance and low-power applications.
        • RF SOI (RF Silicon-on-Insulator) for low-power, low-noise, low-latency and high-frequency applications.
        • SiPh (Silicon Photonics) for higher data rates with greater power efficiency.
        • SiGe (Silicon Germanium) technologies connectivity used in power amplifiers and very high-frequency applications.
      • Innovation beyond silicon through wide band gap GaN technologies for high-efficiency power conversion.
    • Partnerships with the broad and deep customer base through LTA with a focus on certainty, durability and profitability.
    • Expanding global manufacturing footprint focused on supply security, diversity and sustainability through:
      • Economies of scale through modular expansion at existing sites in global footprint, with over 50% capacity increase by 2025.
      • Supply chain security through dual-technology qualification.
      • 25% GHG emission reduction by 2030.
    • Resilient business model with strong earnings growth visibility.
      • 8-12% long-term revenue growth
      • ~40% long-term gross margin percentage driven by mix, scale and productivity
      • Disciplined investment strategy with capex at ~20% of revenue

    Key takeaways

    • Adoption of an LTA framework will be the key to mitigating any demand-supply imbalances and enabling GlobalFoundries to increase its market share and profit.
    • Increased lead time and delay in delivery of equipment due to challenges faced by wafer fab equipment suppliers will prolong expansion plans to 2024.
    • Single-source nature of business and specialty semiconductor manufacturing capabilities will be the major drivers for GlobalFoundries’ growth.

    Related Links

     

    The post GlobalFoundries Reports Solid Q2 2022 Driven by Differentiated Tech Platforms appeared first on Counterpoint.

    ]]>
    Ashwath Rao
    Lam Research Reports Record Revenue for Q2 2022 Despite Supply Chain Constraints https://www.counterpointresearch.com/insights/lam-research-reports-record-revenue-q2-2022-despite-supply-chain-constraints/ Fri, 05 Aug 2022 07:01:25 +0000 http://cpr.presscat.kr/insights/lam-research-reports-record-revenue-q2-2022-despite-supply-chain-constraints/ Lam Research Reports Record Revenue for Q2 2022 Despite Supply Chain Constraints Lam Research a global supplier of innovative wafer fabrication equipment has reported a record Q2 2022 ahead of its guidance range, thanks to strong spending in foundry/logic outgrowing NAND and DRAM investments. Net revenue stood at a record $4.6 billion, an increase of […]

    The post Lam Research Reports Record Revenue for Q2 2022 Despite Supply Chain Constraints appeared first on Counterpoint.

    ]]>
    Lam Research Reports Record Revenue for Q2 2022 Despite Supply Chain Constraints

    Lam Research a global supplier of innovative wafer fabrication equipment has reported a record Q2 2022 ahead of its guidance range, thanks to strong spending in foundry/logic outgrowing NAND and DRAM investments. Net revenue stood at a record $4.6 billion, an increase of 12% YoY.

    Lam’s revolutionary product platform, primarily driven by systems innovation and installed base along with globally diverse manufacturing and stronger and deeper customer collaborations, will help generate revenue growth in the long term.

    Q2 update

    • Record revenue of $4.6 billion and EPS of $8.83 well ahead of guidance ranges, thanks to strong execution and supply chain actions driving improvement in a supply-constrained environment.
    • Revenue from Customer Support Business Group (includes business from spares, services, upgrades and Reliant category) reached a record $1.63 billion, an increase of 18% YoY. Most of the growth came from spares and Reliant business as customers’ fab utilization levels were high and investment in specialty market areas such as RF and power devices increased.
    • Revenue from the foundry segment accounted for 26% of total systems revenue on account of broad-based spending by customers across both leading and specialty node devices.
    • Logic and other segments also witnessed record performance at 20% of systems revenue due to demand in the market for microprocessors, analog components, image sensors and advanced packaging solutions.
    • In terms of revenue by region, China contributed 31%, South Korea 24% and Taiwan 19%. Technology shipment restrictions on China had the least impact on Q2 revenue due to minimal shipments of process tools for fabs operating below 14 nm nodes.
    • Increased spending in foundry/logic will continue due to the ramping up of activities in advanced nodes. Any restrictions on the sale of equipment crucial for the adoption of EUV in high-volume manufacturing will impact revenue growth in the long term.

    Counterpoint Research Lam-chart-revenue

    Source: Lam Research Earnings, Counterpoint Research Wafer Fab Equipment TrackerCounterpoint Research Lam-segment-wise chart1Source: Lam Research Earnings, Counterpoint Research Wafer Fab Equipment Tracker

    Q3 guidance

    • Revenue to be between $4.6 billion and $5.2 billion.
    • Gross margin to be between 44% and 46%.
    • EPS to be in the range of $8.75-$10.25.

    Development in the quarter

    Lam has pioneered a new deposition technology called SPARC for depositing ultra-thin layers of silicon carbide films with the required robustness to survive downstream processing.

    SPARC has enabled performance and technology scaling of advanced logic and DRAM by combining Lam’s unique plasma capability with chemistry and process engineering. With the advent of gate-all-around (GAA) architecture, SPARC technology will find application in extensive use cases in the future.

    Opportunities

    Lam’s innovative product offerings in providing solutions to the challenges in the adoption of 5G and Wi-Fi 6/6E wireless technologies and dry resist technology will help capture a significant amount of market share. Key technology inflections and collaborations provide huge opportunities for Lam in the long term.

    Key technology inflections

    5G and Wi-Fi 6/6E:

    5G offers greater bandwidth, faster connectivity and lower latency by utilizing a greater portion of radio frequencies in the wireless spectrum. Ensuring the coexistence of 5G and newer-generation Wi-Fi 6/6E for seamless wireless connectivity is extremely challenging due to the technical demands on the RF filters that allow signals in the band to be separated.

    One of the critical steps in RF filter manufacturing is depositing and etching Sc-doped layer with high throughput. Lam has acquired Solmates, a pioneer in the focused deposition of AlScN films with best-in-class Sc doping levels and film properties. The acquisition will complement Lam’s expertise in high-quality film deposition, high etch rate and selectivity with high productivity.

    RF filters combined with other devices such as RF switches, low-noise amplifiers, power amplifiers and antenna tuners form complex RF module solutions and are fabricated using RF-CMOS (complementary metal oxide semiconductor) or RF-SOI (silicon on insulator) technologies. These devices have specific fabrication schemes that allow the integration of capacitor and inductors into the back end of line (BEOL) essential for the efficient operation of the devices at high frequencies.

    These additional integration BEOL steps provide a huge opportunity for Lam’s deposition and etching systems and will enable chipmakers to achieve higher frequencies and bandwidth required to support the coexistence of 5G and Wi-Fi 6/6E.

    Dry resist technology:

    This technology was first developed by Lam in collaboration with ASML and IMEC to extend the resolution, productivity and yield in EUV lithography, addressing key challenges associated with the creation of DRAM and logic technologies. Dry resist development technology will help Lam increase its service addressable market in the multi-billion-dollar photoresist equipment industry in the future.

    The resist plays a critical role in the lithography process and ideally should have high resolution, low line edge roughness and high sensitivity. The use of traditional spin-on liquid resists in patterning results in image blur as chipmakers move to advanced technology nodes, reducing resolution and increasing line edge roughness, adversely affecting the performance of the device.

    Fundamentally, spin-on liquid resists have limitations in terms of viscosity and surface adhesion, leading to undesirable trade-offs in lithography performance. Dry resist technology involves dry deposition of small metalorganic units, providing unique ability to change the resist thickness simply by changing the deposition and development time, overcoming concerns over viscosity, chemistry shelf life and other limitations that can affect spin-on resists.

    Lam’s dry resist and development expertise matured over the years will accelerate the industry transition to EUV lithography’s future node applications and enable continued scaling for advanced logic and memory devices through precise, low-defect and lower-cost patterning. The dry resist approach will also provide significant running cost savings to customers along with delivering a more sustainable solution for environmental, social and governance (ESG) measures.

    Collaborations

     Lam and SK Hynix:

     SK Hynix will use Lam’s dry resist underlayer and dry development processes for advanced DRAM patterning. As DRAM continues to scale, innovations in EUV patterning are critical for delivering the performance needed for today’s increasingly connected devices at a lower cost. The cooperation with SK Hynix along with ecosystem partners will help remove the barriers associated with scaling with EUV lithography.

    Lam, Gelest and Entegris:

    Lam’s collaboration with Gelest and Entegris will advance the EUV dry resist technology ecosystem for future device generations of logic and DRAM products.

    The collaboration is vital for EUV dry resist technology integration into high-volume manufacturing and will provide:

    • Dual-source supply from semiconductor material leaders.
    • Ensure a robust supply chain for process chemicals critical to EUV adoption.
    • Broaden the growing ecosystem for dry resist technology.
    • Reduce the impact of supply chain disruptions in the future.

    The partnership will also accelerate the development of future cost-effective EUV dry resist solutions for high numerical aperture (high-NA) EUV patterning, widely seen as the patterning technology that will be required for continued device scaling and advancement of semiconductor technology over the coming decades.

    Key takeaways

    • Collaborations with ecosystem players will alleviate the impact of supply chain disruptions in the future.
    • Lam’s dry resist and development technology will accelerate the industry transition to EUV lithography’s future node applications.
    • Lam’s long-term growth looks strong due to its differentiated etch and deposition equipment portfolio for manufacturing higher-performance and more scalable device architectures.
    • Shipment restrictions on China had the least impact on Q2 revenue due to minimal shipments of process tools for fabs operating below 14 nm nodes.

    The post Lam Research Reports Record Revenue for Q2 2022 Despite Supply Chain Constraints appeared first on Counterpoint.

    ]]>
    Ashwath Rao
    Increased EUV Shipments Help ASML Deliver Strong Growth in Q2 2022 https://www.counterpointresearch.com/insights/increased-euv-shipments-help-asml-deliver-strong-growth-in-q2-2022/ Fri, 29 Jul 2022 06:02:58 +0000 http://cpr.presscat.kr/insights/increased-euv-shipments-help-asml-deliver-strong-growth-in-q2-2022/ ASML has delivered a strong Q2 2022 ahead of its guidance with record quarterly orders. Net sales increased by 35% YoY to €5.4 billion driven by increased EUV shipments, which accounted for 48% of the net systems sales during the quarter. However, the company outlook for 2022 has been lowered to around 10% YoY growth […]

    The post Increased EUV Shipments Help ASML Deliver Strong Growth in Q2 2022 appeared first on Counterpoint.

    ]]>
    ASML has delivered a strong Q2 2022 ahead of its guidance with record quarterly orders. Net sales increased by 35% YoY to €5.4 billion driven by increased EUV shipments, which accounted for 48% of the net systems sales during the quarter.

    However, the company outlook for 2022 has been lowered to around 10% YoY growth on account of deferred revenue recognition due to the adoption of a fast shipment strategy. Challenges will persist in the near term amid supply chain constraints and inflationary pressures. But strong demand in high-performance computing (HPC), automotive and IoT will enhance ASML’s growth prospects in the long term.

    Q2 2022 KPIs

    • Net sales of €5.4 billion ahead of guidance, thanks to deferred revenue recognition from six EUV systems’ fast shipments in Q1 2022.
    • Net systems sales at €4.1 billion, an increase of 40% YoY with EUV accounting for 48% share.
    • Service and field option sales at €1.3 billion.
    • Shipped 12 EUV systems, an increase of 33% YoY.
    • Gross margin of 49.1% at the lower end of the guidance due to inflationary effects.
    • Record quarterly net bookings of €8.5 billion. €5.4 billion in EUV orders including High-NA, thanks to customer demand in both advanced and mature nodes.
    • Record total order book of €33 billion at the end of the quarter – 85% for advanced semiconductor manufacturing, including High-end immersion and EUV, and 15% for mature technology needed for advanced production.

    Counterpoint Research ASML-Revenue-Chart2

    Source: ASML Earnings, Counterpoint Research Wafer Fab Equipment Tracker

    Q2 Analysis

    • Net system sales by end-use had logic taking 71% and memory taking 29%. Increased shipments to logic attributed to focus by foundries on ramping up 3nm process nodes.
    • Lithography units: EUV-12, DUV-ArFi 21, ArFdry 8, KrF 38 and I-line 12.
    • Gross margin to remain under pressure in the near term due to supply chain challenges and inflationary pressure on labor, freight and parts.
    • High utilization rates of machines that are in the installed base will help ASML’s growth prospects despite demand slowing in the PC and smartphone markets in the near term.
    • ASML has started integration and initial testing of first High-NA mechanical projection optics and illuminator along with the new wafer stage received from suppliers.
    • On the DUV business side, it shipped the first NXT KrF system –TWINSCAN NXT:870 – with increased throughput capability, much needed for responding to the industry’s demand for KrF tools and wafer output.
    • In the applications business, the company completed the first eScan1100 multi-beam system installation at a customer site.
    • The company will revisit its medium-term forecast and guidance on growth opportunities beyond 2025 on “Capital markets day” on November 11, 2022.

    Counterpoint Research ASML-Region-wise-chart

    Source: ASML Earnings, Counterpoint Research Wafer Fab Equipment Tracker

    Regional Performance

    • Taiwan took 41% and South Korea took 33% share of the equipment shipments in Q2 2022 driven by the ramping up of activities on advanced technology nodes and adoption of EUV in high-volume manufacturing by foundries to shorten ramp times, improve device performance and yield, and optimize factory output and operating costs.
    • Restrictions on the supply of DUV machines used in mature nodes in addition to EUV systems led to a fall in shipments to China in Q2. However, increased shipments to Taiwan and South Korea helped boost net sales.
    • China is a major player in the semiconductor value chain and any restriction on DUV systems’ sales, which find application in mature nodes, will affect Chinese chip makers’ expansion plans, further aggravating the component shortage crisis.

    Outlook for 2022

    • Q3 net sales are projected to be between €5.1 billion and €5.4 billion.
    • Full-year revenue growth projection lowered to €20.5 billion on account of an increased number of fast shipments assuming priority due to supply chain disruptions and delaying of revenue recognition to 2023. Fast shipments reduce the cycle time by carrying out acceptance tests at the customer end to output more systems. ASML has been adopting this strategy from the beginning of 2022 to overcome issues arising out of supply chain constraints.
    • Gross margin to be between 49% and 50% due to extra costs related to output capacity increase and unexpected inflationary trends.
    • 55 EUV systems to be shipped in 2022 with revenue recognition for only 40 systems in 2022 and for the remaining 15 in 2023.

    Key Takeaways

    • Increased shipments to Taiwan and South Korea attributed to ramping up of activities on advanced technology nodes.
    • Foundries ramping up production of 3nm process nodes by applying Gate-All-Around transistor and FinFET architectures and using EUV technology will help ASML improve its share in the wafer fab equipment market.
    • Fall in shipments to China due to restrictions on the supply of DUV machines will aggravate the component shortage crisis.

    Related Links

    The post Increased EUV Shipments Help ASML Deliver Strong Growth in Q2 2022 appeared first on Counterpoint.

    ]]>
    Ashwath Rao
    Semi Bellwether TSMC’s Q2 Earnings Forecast Sunny Days Ahead https://www.counterpointresearch.com/insights/semi-bellwether-tsmcs-q2-earnings-forecast-sunny-days-ahead/ Fri, 15 Jul 2022 14:57:08 +0000 http://cpr.presscat.kr/insights/semi-bellwether-tsmcs-q2-earnings-forecast-sunny-days-ahead/ COVID-19, along with the rise of advanced capabilities such as 5G, AI and imaging technologies, has catalyzed the semiconductor demand for the last over two years. Monitoring the contribution of upstream players in the semiconductor value chain, which are actually building technologies and capacities, has become extremely important. TSMC is a great benchmark for the health […]

    The post Semi Bellwether TSMC’s Q2 Earnings Forecast Sunny Days Ahead appeared first on Counterpoint.

    ]]>
    COVID-19, along with the rise of advanced capabilities such as 5G, AI and imaging technologies, has catalyzed the semiconductor demand for the last over two years. Monitoring the contribution of upstream players in the semiconductor value chain, which are actually building technologies and capacities, has become extremely important.

    TSMC is a great benchmark for the health of the semiconductor industry considering it manufactures 70% of all key smartphone chipsets. The company posted record earnings in Q2 2022 with growing advanced semiconductor content in processing (AI, GPU, SoC) and connectivity (5G) being the key factors.

    Key financial highlights:

    • Net revenue increased 37% YoY to $18.2 billion driven by high-performance computing (HPC), IoT and automotive-related demand.
    • Gross margin and operating margin were at 59.1% and 49.1% respectively, up 3.5 percentage points on a favorable foreign exchange rate, cost improvement and value selling.
    • From the geographical perspective, North America accounted for the highest share (64%) of total net revenue.

    Counterpoint Research TSMC Revenue Trends

    TSMC wafer revenues share

    By application

    • Smartphones and HPC represented 38% and 43% of net revenues respectively, while IoT, Automotive, Digital Consumer Electronics (DCE) and Others represented 8%, 5%, 3% and 3% respectively.
    • HPC surpassed Smartphones in revenues thanks to Nvidia, Intel, AMD and others.
    • TSMC’s reliance on Apple, Qualcomm and Mediatek was lesser as HPC surpassed Smartphones in revenue contribution.
    • Automotive semiconductor content was the dark horse.

    Counterpoint Research TSMC Wafer Revenue Share % by Application1

    By node

    • 5nm process technology contributed 21% of total wafer revenues in Q2 2022 while 7nm accounted for 30%.
    • Combined revenue from advanced process nodes with 5nm and 7nm accounted for 51% of total wafer revenues, thanks to growing capex, making it very difficult for current and potential competition to catch up at least in next 10 years.
    • Double-digit growth was seen in matured nodes thanks to the rising need for chipsets in the IoT and automotive.

    Counterpoint Research TSMC Wafer Revenue Share % by Node

    N2 and N3 updates

    • N2 node will implement the platform scaling concept wherein benefits of power delivery schemes, advanced packaging and chiplet will be utilized to control cost and have an overall advantage.
    • N3 node will be the longest node to be used before migrating to N2 due to the introduction of TSMC FINFLEX architectural innovation, which offers flexibility to customers to create designs precisely tuned for their needs with functional blocks implementing the best-optimized fin configuration and integrated into the same chip.
    • The introduction of 3nm nodes will begin in H2 2022 and adoption by customers and revenue contribution will start in Q1 2023. The introduction of 3nm nodes will lower the gross margin by 2%-3% in 2023.
    • While the capex is growing, some of it will be spread out over quarters with the WFE vendors struggling with backlogs as building fab equipment also requires semiconductors! This will help TSMC realize healthy margins for the coming quarters and offset any gross margin decline due to N3 introductions.

    Key takeaways

     

    The post Semi Bellwether TSMC’s Q2 Earnings Forecast Sunny Days Ahead appeared first on Counterpoint.

    ]]>
    Ashwath Rao
    ASML Net Sales at High End of Guidance; High NA Orders to Drive Long-term Growth https://www.counterpointresearch.com/insights/asml-net-sales-q1-2022/ Tue, 03 May 2022 22:03:34 +0000 http://cpr.presscat.kr/insights/asml-net-sales-q1-2022/ ASML has reported Q1 2022 net sales at the higher end of its guidance. Outlook for the long term is positive due to leading-edge EUV systems and their dominance across the entire product line for older lithography systems, especially DUV, the workhorse of the semiconductor industry in mature nodes. Q1 2022 update: Installed base as […]

    The post ASML Net Sales at High End of Guidance; High NA Orders to Drive Long-term Growth appeared first on Counterpoint.

    ]]>
    ASML has reported Q1 2022 net sales at the higher end of its guidance. Outlook for the long term is positive due to leading-edge EUV systems and their dominance across the entire product line for older lithography systems, especially DUV, the workhorse of the semiconductor industry in mature nodes.

    Q1 2022 update:

    • Installed base as a percentage of net sales highest for any quarter.
    • Net sales of €3.5 billion. Gross margin of 49% as guided.
    • Net bookings at €7 billion, an increase of 47% YoY. €2.5 billion in EUV orders, including High-NA, and DUV at €4.5 billion.
    • Net system sales at €2.3 billion.
    • Installed base business (service and field option sales) at €1.2 billion.
    • Installed base business as a percentage of net sales at 35%, an increase of 7% YoY, the highest in any quarter.
    • Shipped nine EUV systems, an increase of 28% YoY.
    • Higher ASP for EUV systems.
    • Lower net sales as revenue shift of six EUV systems to subsequent quarter due to fast shipments.
    • Total order book of €29 billion at the end of the quarter.

    Breakdown: Net system sales

    • Region-wise: Taiwan 22%, South Korea 29%, China 34%
    • End use: Logic 50%, Memory 50%
    • Lithography units: EUV-3, DUV-ArFi 18, ArFdry 6, KrF 26, I-line 9

    Counterpoint Research ASML Net Sales by End Use

    Business outlook – 2022

    Q2:

    • Net sales between €5.1 billion and €5.3 billion, including installed base sales of around €1.2 billion.
    • Gross margin 49%-50% due to higher volume both for DUV and EUV, offset by cost pressure and lower ASP for EUV going ahead.

    Full year:

    • Full-year revenue with around 20% YoY growth will enhance ASML’s prospects of becoming the top wafer fab equipment supplier.
    • DUV, metrology and inspection markets expected to grow faster.
    • Gross margin to be 54% in the second half due to the volume driven by both EUV and DUV systems in addition to increased gross margin on installed base revenue.

    Products and businesses

    • EUV revenue for the year will go up about 25%.
    • Expect over 20% YoY increase in non-EUV business revenue driven by immersion, dry and metrology systems.
    • Memory and installed business will be up around 25% and 10% respectively.
    • Logic business will be up more than 20%.

     Developments in Q1 2022

    • On the commercial side, received multiple orders for High-NA EXE:5200 (EUV 0.55 NA), ASML’s next model High-NA systems, and from three logic and two memory customers.
    • On the technology side, integration of the first EUV High-NA system in the new fab at Veldhoven progressing well.
    • In applications business, shipped first eScan460 system, which is a next-generation single-beam inspection system with higher resolution and 50% faster throughput than eScan430.

     Announcement to watch out

    • Capital markets day in the second half of 2022 to revisit medium-term forecast and guidance on growth opportunities beyond 2025.

    Impact of increased costs on 2022 margins

    An impact of 1% on gross margin is expected for the full year due to cost increases owing to

    • Labor cost associated with adding and training staff and strong competition for talent.
    • Component price increases from suppliers and incremental cost from service fees to secure parts that are in short supply and high in demand.
    • Freight cost going substantially up due to increased fuel prices.

    Key takeaways

    • Highest ASP for EUV systems in the quarter.
    • Received multiple orders for High-NA EXE:5200 systems from both logic and memory customers.
    • Increased adoption of EUV in high-volume manufacturing will enable ASML to meet its long-term forecast.
    • Record order book of €29 billion and fast shipments will help ASML deliver over 20% YoY net sales revenue growth in 2022 and further enhance ASML’s prospects of becoming the top wafer fab equipment supplier.

    Related Posts

    The post ASML Net Sales at High End of Guidance; High NA Orders to Drive Long-term Growth appeared first on Counterpoint.

    ]]>
    Ashwath Rao
    Applied Materials' PPACt Play Drives Record Quarterly Revenues https://www.counterpointresearch.com/insights/applied-materials-quarterly-revenues/ Tue, 08 Mar 2022 12:21:17 +0000 http://cpr.presscat.kr/insights/applied-materials-quarterly-revenues/ Global secular trends in the electronics industry, like the Internet of Things (IoT), 5G, innovation in edge and cloud, are expected to continue to fuel growth across the semiconductor and wafer fab equipment markets. Applied Materials is a leading manufacturer of wafer fab equipment. With a broad portfolio of products and technologies to accelerate the […]

    The post Applied Materials' PPACt Play Drives Record Quarterly Revenues appeared first on Counterpoint.

    ]]>
    Global secular trends in the electronics industry, like the Internet of Things (IoT), 5G, innovation in edge and cloud, are expected to continue to fuel growth across the semiconductor and wafer fab equipment markets. Applied Materials is a leading manufacturer of wafer fab equipment. With a broad portfolio of products and technologies to accelerate the new PPACt (Power, Performance, Area, Cost and Time-to-Market) playbook and strategic investments in global infrastructure, Applied Materials is expected to surpass its long-term growth forecast.

    Record Quarterly Revenues in Q1FY2022 

    • Highest ever quarterly revenues in Q1 2022 (quarter ended January 30, 2022).
    • Orders for the quarter at an all-time high, beating the previous record by half a billion dollars.
    • Revenue and EPS in the upper end of the guidance range.
    • Record order backlog.
    • Record quarterly revenue in Process Control, Chemical Vapor Deposition (CVD) and Chemical Mechanical Planarization.
    • Highest ever DRAM revenue.

    Applied’s outlook for 2022 and beyond looks impressive due to positive global trends:

    • Digital transformation of the economy built upon semiconductors.
    • Capital intensity to remain at levels never seen before.
    • Semi and equipment markets growing structurally larger.
    • Wafer Front-End Equipment (WFE) market to grow by over 15% to $100 billion in 2022.
    • Foundry-Logic made up more than 60% of total WFE investments.
    • Within WFE, Foundry-Logic spending growing faster than memory.
    • Long way to go before supply catches with demand.
    • Demand for semiconductors stronger and broader.
    • WFE spending limited by supply in 2021 with unmet demand pushed to 2022 and beyond.

    Applied Materials Earnings, Q1 2021 vs Q1 2022 Counterpoint Research

    Source: Applied Materials Earnings, Counterpoint Research Semi Equipment Tracker

    Applied’s PPACt framework for describing the semiconductor industry’s future technology roadmap is enabled by

    • New architectures: ASIC, accelerator, in-memory compute
    • New materials: Gate, contact, interconnect
    • New structures/3D: GAA transistors, 3D DRAM, 3D NAND
    • New ways to shrink: Materials enabled patterning, EUV enablement, 3D patterning control
    • Advanced packaging: High-bandwidth memory, 2.5D silicon interposer, 3D TSV, hybrid bonding

    Key technology inflections that make up the PPACt playbook are primarily enabled by materials engineering, Applied’s core strength.

    Applied’s relentless focus to develop differentiated technology to enable these inflections will help capture a majority of the total available market.

    Q1 2022 Highlights:

    • Strategic investment in state-of-the-art logistics service center in Austin, Texas to expand manufacturing capacity.
    •  Significant wins in areas not served in the past:
      • Received record multiple new tool orders in Etch business at advanced nodes in Foundry-Logic across all three leading-edge customers.
      • Secured a new strategic penetration for R&D acceleration using Aix– Actionable Insight Accelerator platform at a leading customer.
      • Received first wins with a new carbon hard mask deposition and etch solution at a leading memory manufacturer.
    • These wins to accelerate R&D and technology transfer and ramp up, optimize productivity in high-volume manufacturing, resulting in significant revenue growth going ahead.
    • Near-term challenges persist in realizing strength in the business due to supply constraints. However, a clear indication of future growth potential visible as execution against product roadmap is good.

     Applied’s Key Performance Metrics for Q1 2022: Record backlog

    • Delivered highest ever quarterly revenues of $6.27 billion, up 21% YoY.
    • Gross margin at 47.2%.
    • EPS at $1.89.
    • Record $2.66 billion in cash from operations.
    • China contributed to 32% of net sales revenue.
    • Trailing 12-month revenues up 68% YoY in Inspection and Metrology business.
    • eBeam revenues doubled in the same period.
    • Increased tools under comprehensive service agreement by 13% YoY.
    • Subscription renewal rate at 92%.
    • Semi Systems backlog increased by more than $1.8 billion to a record $8 billion.
    • Average tenure of long-term service agreements up from 1.9 years to 2.3 years.

    Segment-wise Details: Share of Semiconductor Systems Growing Fast

    Applied Materials’ operates in three reportable segments: Semiconductor Systems, Applied Global Services, and Display and Adjacent Markets

    Applied Materials Earnings Share by Segment, Q1 2021 vs Q1 2022 Counterpoint Research

    Source: Applied Materials Earnings, Counterpoint Research Semi Equipment Tracker

    Semiconductor Systems: $4.567 Billion

    Includes semiconductor capital equipment for etch, deposition, chemical mechanical planarization, metrology and inspection, wafer packaging, and ion implantation.

    • Record revenue at $4.567 billion, +29% YoY
    • Operating margin at 38.8%, +280bps YoY
    • Foundry, logic contribution at 60%, followed by DRAM at 25% and Flash memory at 15%

    Semiconductor systems clocked record revenue due to strong customer pull for co-optimized and integrated solutions.

    Applied Global Services: $1.32 Billion

    This segment provides integrated solutions to optimize equipment and fab performance, including spares, upgrades, services and factory automation software for semiconductors and other products.

    • Revenue at $1.32 billion, +14% YoY
    • Operating margin at 30.5%, +110 bps YoY
    • 67% of backlog in subscriptions with 1-3-year terms

    Display and Adjacent Markets: $366 Million

    This segment includes products for manufacturing liquid crystal displays (LCDs), organic light-emitting diodes (OLEDs), equipment upgrades and other display technologies for consumer-oriented devices.

    • Revenue above guidance
    • Operating margin at 20.8%, +280 bps YoY

    Demand for OLED expanding beyond smartphones into computing and TV to fuel growth in the long term.

    Q2 2022 Guidance:

    Adoption of fully integrated solutions to generate additional $600 million of revenue.

    • Net sales revenue to be approximately between $6.05 billion and $6.65 billion.
    • EPS to be in the range of $1.75 – $ 2.05.
    • Operating expenses to be $1.02 billion.
    • Decline in gross margin to 47% due to absorption of near-term cost pressures primarily related to expediting of shipments to customers.
    • Expect strong growth in optical wafer inspection combined with the extension of eBeam leadership.
    • Adoption of co-optimized and fully integrated solutions to generate incremental $600 million of revenue in 2022.
    • Combined software business in AGS and semiconductor systems to generate more than $300 million of revenue in 2022.

    Segment-wise Revenue Guidance

    • Semiconductor Systems at $4.6 billion.
    • Applied Global Supplies at $1.35 billion.
    • Display and Adjacent Markets at $380 million.

    Development in the Quarter:

    • Expansion of advanced packaging development ecosystem for hybrid bonding to accelerate the development of building blocks of heterogeneous integration with the provision of a complete suite of tools and technologies.
    • Definition of fab performance to include sustainability with a focus on minimizing energy consumption, environmental impact of chemical use and footprint intensity.

    Challenges:

    Availability of certain silicon components going into subsystems within the products hampered due to supply chain disruptions.

    Priority to manage supply chain constraints through

    • Partnership with suppliers and chipmakers to find solutions and eliminate bottlenecks.
    • Investment in global infrastructure.
    • Building stronger supply chain.
    • Collaborating differently.

    Key Takeaways:

    • Applied Materials recorded all-time-high quarterly revenues thanks to a large and growing installed base of manufacturing systems, and subscription model gaining ground.
    • A broad and differentiated technology portfolio puts Applied in a great position to capture a large portion of served markets in years to come.
    • Major technology inflections that make up the industry’s PPACt roadmap expand Applied’s addressable market opportunities.
    • Customers providing longer-term visibility and collaborating more closely on capacity planning have positive implications for Applied’s business.
    • Efforts to shorten ramp times, improve device performance and yield, and optimize factory output and operating costs will enable Applied Materials to meet its long-term forecast.

    Related Posts

    The post Applied Materials' PPACt Play Drives Record Quarterly Revenues appeared first on Counterpoint.

    ]]>
    Ashwath Rao
    EUV Technology Leader ASML Etches Successful Earnings Pattern https://www.counterpointresearch.com/insights/asml-etches-successful-earnings-pattern/ Fri, 04 Feb 2022 03:22:58 +0000 http://cpr.presscat.kr/insights/asml-etches-successful-earnings-pattern/ Semiconductor industry revenues are expected to reach around $1 trillion by 2030 due to increased demand in 5G, IoT, cloud computing, high performance computing, automotive chips and other segments. DUV (deep ultraviolet) and EUV (extreme ultraviolet) lithography systems are widely used for patterning the silicon wafers as we scale down the technology node. ASML is […]

    The post EUV Technology Leader ASML Etches Successful Earnings Pattern appeared first on Counterpoint.

    ]]>
    Semiconductor industry revenues are expected to reach around $1 trillion by 2030 due to increased demand in 5G, IoT, cloud computing, high performance computing, automotive chips and other segments. DUV (deep ultraviolet) and EUV (extreme ultraviolet) lithography systems are widely used for patterning the silicon wafers as we scale down the technology node. ASML is a leader in advanced lithography systems and equipment. With significant investment in advanced EUV technology and a value-based service model, including productivity and performance upgrades, ASML is expected to surpass its long-term forecast.

    Scaling becomes more affordable for chipmakers with the adoption of EUV technology as it enables them to keep up with Moore’s Law.

    ASML registers record revenues, margins in 2021

    ASML has reported robust 2021 numbers and is expected to continue to support a strong premium valuation due to its:

    • Monopoly in EUV technology.
    • Dominance across the entire product line for older lithography systems.

    ASML’s outlook also looks impressive due to positive global trends:

    • Semiconductor sales at a record $550 billion in 2021.
    • Capex allocations above $100 billion by major foundries.
    • Litho growth expected to be faster than that for overall wafer fab equipment.
    • Demand is exceeding capacity.
    • Increase in wafer-processing steps to produce diverse and complex applications.
    • Deployment of High-NA EUV systems for sub-3nm process by 2025.

    EUV system sales have increased significantly over the years, with their contribution to total system sales revenue just under half in 2021.

    Counterpoint Research
    ASML Annual Revenues Share by Lithography Segment

    ASML EUV investments to overcome advanced node challenges  

    ASML has significantly invested in the next major technology change on the EUV road map, High-NA systems. These systems, currently in R&D, allow the production of chips below 3nm. Close coordination between various photomask, equipment and photoresist vendors will enable fast delivery of these systems in 2023. Incorporation of these systems in production during the second half of the decade will further drive revenue growth.

    However, there are near-term challenges in implementing EUV in DRAM scaling:

    • Defects in large Critical Dimensions for active area scaling.
    • Hole size sensitivity and narrow process windows.
    • Thin photoresist

    ASML will be able to meet the growth forecast in the long term through a resolution of these near-term challenges.

    Foundries’ continuous efforts to increase wafer output, reduce defects and improve yield in advanced technology nodes will help in the adoption of EUV technology at a faster rate.

    Successful implementation of a fast-shipment strategy could overshoot ASML’s provisional budget allocation and might affect its gross margin and operating income in the near term. But ASML will be able to meet its guidance in the long term.

    ASML key performance metrics for Q4 2021, 2021  

    2021 company update: Revenues up 35% YoY with solid gross margins

    • Net sales up 35% at €18.6 billion.
    • Net income up 64% YoY to €5.9 billion.
    • Systems net booking order at €26.2 billion.
    • EPS up 69% YoY to €14.36.
    • Gross margin at record 52.7%.
    • Net system sales at €13.6 billion, compared to €10.3 billion in 2020.

    Breakdown: Net system sales — Taiwan, Logic remain biggest market, segment respectively

    • Region-wise: Taiwan 44%, South Korea 35%, China 16%, USA 5%, Japan 1%
    • End use: Logic 70% and Memory 30%
    • Lithography units: EUV 42, DUV-ArFi 81, ArFdry 22, KrF 131, i-line 33

    Segment-wise: Share of EUV equipment growing fast

    Counterpoint Research ASML-Annual Lithography Sales-v2

    1. DUV
    • DUV system sales grew 25% to €6.9 billion as part of continued capacity ramp-up.
    • €4.6 billion of DUV orders.
    • DUV installed base/system base 25%:75% in 2021.
    • DUV installed base/system base 30%:70% by 2025.
    1. EUV
    • EUV system sales grew 41% to €6.3 billion to support high-volume manufacturing for both Logic and Memory
    • €2.6 billion in EUV orders.
    • EUV 0.33 NA extension and EUV 0.55 NA introduction are expected to extend EUV values to the next decade.
    • High-NA program in R&D and manufacturing progressing well, one EUV 0.55 NA (EXE:5000) order received to be delivered by Q3 2023.
    1. Installed base management (service and field option sales)
    • Installed base business grew around 35% to €5.0 billion to form about 27% of total sales.
    • Increased sales in installed base management during Q4 helped improve the 2021 gross margin.

    Q4 2021 metrics: Highest ever gross margin of 54.2% 

    • Net sales of €5.0 billion, gross margin of 54.2%, net income of €1.8 billion
    • Net income as a percentage of net sales at 35.6%
    • Order intake in Q4 high at €7.1 billion
    • Net system sales at €3.5 billion

    Breakdown: net system sales 

    • Region-wise: Taiwan 51%, South Korea 27%, China 22%
    • End use: Logic 73%, Memory 27%
    • Lithography units: EUV 11, DUV-ArFi 20, ArFdry 5, KrF 35, i-line 11

    Q1 2022 outlook: 60 EUV systems to be delivered in 2022

    • Net sales between €3.3 billion and €3.5 billion, including installed base management sales of around €1.2 billion.
    • Lower net sales as revenue shift of approximately €2 billion to subsequent quarters due to fast shipments.
    • Gross margin 49%.
    • Delivery of 60 EUV systems in 2022.

    Long-term outlook (2021 to 2030): High-NA EUV systems to drive revenue growth

    • Lithography intensity increasing over time. Litho growth faster than total WFE.
    • Orders for five High-NA systems.
    • First High-NA system expected to be installed by Q4 2023.
    • Annual revenue to be between €24 billion and €30 billion in 2025, with the gross margin between 54% and 56%.
    • Net sales to grow around 20% YoY during 2022-2025 due to strong demand.
    • Going forward, the guidance of 20% YoY growth will be met through High-NA EUV equipment sales.
    • Installed base management with a value-based service model, including productivity and performance upgrades, could provide an annual revenue growth rate of 11% for the period 2020-2030 to reach €6 to €7 billion by 2025.
    • High-NA systems to be used in mass production by chip manufacturers in DRAM by 2025.
    • DRAM is projected to drive >30% of overall EUV demand by 2025.

    Earnings call discussion: ASML’s capabilities for fast shipments in focus

    Addressing strong demand beyond 2022?

    • Focus on building capacity, both in the company and supply chain.
    • Significantly increase output for DUV, EUV, and metrology and measurement systems.

    Expectations regarding EUV and DUV?

    • Expect to ship about 55 EUV systems, with about six systems soon. EUV revenue growth of about 25% expected.
    • EUV capacity growth, 2020-2025: Number of units > 1.5X; Wafer capacity >2X
    • 20% growth of DUV business in 2022. Order intake across all industries — Memory, Logic.
    • DUV capacity growth, 2020-2025: Number of units ~ 1.5X; Wafer capacity ~2X

    How does this all translate into your different market segments for this year?

    • Driven by the underlying secular trend of increased demand for more mature products and advanced products, Logic will grow about 20%.
    • Memory growth to be about 25%.

    Update on EUV High-NA

    • High-NA is the next big promise. Execution of first High-NA tools in factory under progress.
    • Received fifth EXE:5000 (High-NA) order for shipment up to 2024.
    • Received first order for EXE:5200, next-generation high-volume manufacturing tool for EUV to be launched in 2024.

    Capital allocation for 2021

    • Use free cash flow to support the business by:
      • Investing significantly in own capacity and capacity in the supply chain.
      • Investing significantly in R&D, given the high growth profile.
      • Increasing dividend and share buyback.

    Challenges going ahead

    • Demand significantly exceeds capacity due to:
      • Secular growth trend.
      • Drive for more semiconductors.
      • Shortages and demand-supply imbalances due to COVID-19.
    • Monitoring disturbances is challenging when operating at maximum capacity as there is no buffer left.
    • Workforce: People need to be trained and taken up the learning curve, which takes time.

    Addressing these challenges

    • More wafer output through fast shipments.
    • Reducing the cycle by not doing acceptance tests for weeks.
    • Installed base improvements. Hardware and software options to get more wafers out.
    • Any disturbance to be recognized quickly and corrective measures taken.
    • Close collaboration between the supplier base and company.

    Key takeaways:

    • ASML recorded all-time high revenues and gross margins, thanks to the record number of EUV system sales and growth in the installed base business.
    • ASML will ship 60 units in 2022. Focus on fast shipments will increase wafer output.
    • Taiwan remains the key market, considering TSMC’s pledge to spend $100 billion during 2021-2023.
    • Efforts to reduce defects, improve yield and deploy High-NA EUV systems in mass production will enable ASML to meet its long-term forecast.

    Related Posts

    The post EUV Technology Leader ASML Etches Successful Earnings Pattern appeared first on Counterpoint.

    ]]>
    Ashwath Rao